!RECONF(1) @日吉 !GHDL/LLVM LLVMバックエンド版をビルドする.ホストはWSLなUbuntu 18.04. gnatとllvm-devをaptでインストール. sudo apt install gnat sudo apt install clang llvm-dev 作業場所を用意してghdlをclone. mkdir -p src; cd src git clone https://github.com/ghdl/ghdl.git 2019年3月3日にリリースされてるv0.36でビルドする cd ghdl git checkout v0.36 ./configure --with-llvm-config --prefix=/usr/local/ghdl-0.36 make sudo make install