トップ 差分 一覧 Farm ソース 検索 ヘルプ PDF RSS ログイン

Diary/2008-12-5

ハーマンモデル

実践工房のEPの先生に教えていただいた.
ハーマンモデルに詳しい.
大脳を,右脳-左脳,新皮質-辺縁系の二軸による4つのエリアに分割し,
どのエリアを活用しているかによって,自己認識,相互認識を行うというツール.
ハーマンモデルおよび
Whole Brain Model(Herrmann)によると,

左脳/新皮質(A)
Logical(論理的),Analytical(分析的),Fact-Based(事実重視,Quantitative(数量的)
右脳/新皮質(B)
Holistic(全体的),Intuitive(直観的),Integrating(統合的),Synthesizing(合成的)
左脳/辺縁系(C)
Organized(系統だった),Sequential(順序だった),Planned(計画的),Detailed(詳細な)
右脳/辺縁系(D)
Interpersonal(対人的),Feeling-Based(感じに基づく),Kinesthetic(運動感覚性の),Emotional(感情的)

もちろん,全部が得意(活用している)という人もいれば,
Dだけが得意という人もいる.
で,A〜Dあるいは,全部得意な人が偉い,
とかではないということ.
大事なのは,何かを理解する場合にそれぞれの人が違う脳の使い方をしていて,
その理解する方法が違う人同士が議論すると,
議論が噛み合わなくて悲しいということ.
自己認識,相互認識の上で,議論相手にあった話し方を心掛けるということ.
相手を変えるのは難しいけど(不可能?),自分が変わることは簡単(努力次第).

なお,診断は,ファシリテータの人を通すと半額近くになるらしい.
# 私も診断してもらってないので,一度みてもらいたいような気もするけど,
# たんにゲーム感覚な興味なだけかも.
# 上記の内容を考えることの方が,はるかに重要な気がする.


○○モデル

ハーマンモデルのように,○○モデルというと,

○○モデルは,xxx(の概念)によってyyyを定義(or 説明)するモデル

のような説明が欲しくなってしまうけど,
ハーマンモデルによると,

ハーマンモデルとは、ノーベル賞受賞学者の大脳生理学理論を
起源とする「脳」の研究をベースにした科学的ツールで
「脳優勢度調査」とも呼ばれています。

だそうで,そういう説明はなかった.
英語で検索してみると,
Whole Brain Model(Herrmann)には,

The Whole Brain Model from Ned Herrmann is a technique〜

という説明があった.
うーん,私の○○モデルに対する説明の認識が間違っているのか?

Verilogことはじめ

Verilog-HDLは,講義で教わったはずなのだけれども,
ちょっと読めるくらい.ばりばり書けるようになるために,
まずは環境構築から.
シミュレーションは,Icarus Verilogで,波形は,GTKwaveでみることに.
手元の環境はUbuntu Linuxなので,

sudo apptitude install verilog
sudo apptitude install gtkwave

で環境構築はおしまい.簡単.

使い方は,

iverilog -o hoge hove.v hoge_sim.v

とかしてシミュレーション対象となるhogeを生成する.
ここで,hoge_sim.vには,

$dumpfile("hoge.vcd");
$dumpvars(0, hoge_sim);

とかダンプファイルを生成するための情報を書いておく.

vvp hoge

でシミュレーション.波形ファイル"hoge.vcd"が生成される.
波形はGTKwaveで確認.

gtkwave hoge.vcd