トップ 差分 一覧 Farm ソース 検索 ヘルプ PDF RSS ログイン

Diary/2008-9-19

コンパイルエラー

ちょっと大きめの回路を合成しようとWebPackにかけたら
なかなかコンパイルがおわらない.
で,3時間くらい待っていたらエラー.

Loading device for application Rf_Device from file '5vlx50.nph'
in environment C:\Xilinx\10.1\ISE.
ERROR:Portability:3 - This Xilinx application has run out of memory
or has encountered a memory conflict.  Current memory usage is 2072872 kb.
You can try increasing your system's physical or virtual memory.
For technical support on this issue, please open a WebCase
with this project attached at http://www.xilinx.com/support. 

だって.ちなみに実メモリは,4G.どうしよ.
もう少しシュリンクさせるべきだよな.