トップ 差分 一覧 Farm ソース 検索 ヘルプ PDF RSS ログイン

Diary/2017-8-13

updatemem

data2memで,FPGAデザイン中のブロックRAMの中身をbitgen時に変更するなど.
...とVivadoなデザインでも試してみるが,
圧縮しているbitファイルだとダメだよ,と言われて,うまくいかず.
圧縮してないんだけどなーと,アレコレ試すも効果なし.
で,調べてみると,Vivadoでは,data2memじゃなくてupdatememなのね.
data2mem同様SDK前提のツールなので,
自分でインスタンス生成したBRAMに対するツールのサポートはない.
というわけでmmiとmemファイルを手書きしてトライ.


とりあえずメモリの中身が書きかわることは確認できたものの,
適用したいデザインではパリティ部分も使っているからか,
うまく値が設定できない...少し時間をおいて再度挑戦しよう.