トップ 差分 一覧 Farm ソース 検索 ヘルプ PDF RSS ログイン

Diary/2019-6-23

AFU/PAC 開発メモ その2

dma_afuに独自回路を追加してみることにする.
一度ビルドした後に,build_synth/build/dcp.qpfを開いて,インスタンスツリーの,
green_bs→platform_shim_ccip_std_afu→afu_inst→u0の下のdma_test_system.qsysを開く.
とりあえず,Qsysのファイルメニューから,new componentsとか選んで,
コンポーネント作成ダイアログでAvalon MM-Slaveとクロック,リセットを持ったシンプルなコンポーネントを作成,
テンプレートとなるファイルも作成する.
テンプレートとなるファイルができるので,適当に中身を変更して保存.
作ったコンポーネントはIPカタログに登録されているので,選択して,
クロック,リセットとAvalon-MM Masterに接続.
メモリアドレスを適当にセットして合成すればいい.
作ったコンポーネントを hw/rtl/filelist.txt に追加して,

% afu_synth_setup --force --source hw/rtl/filelist.txt build_synth
% ${OPAE_PLATFORM_ROOT}/bin/run.sh 

とか.